Description
2024年盛科通信研究报告:国产交换芯片龙头,产品迭代升级有望受益AI浪潮+国产替代趋势
栏目:公司新闻 发布时间:2024-07-16
 1.1. 国内以太网交换芯片领先者,专注研发投入,具备丰富独立研发经验  盛科通信为国内领先的以太网交换芯片设计企业,主营业务为以太网交换芯片及配套产品 的研发、设计和销售。以太网交换芯片是构建企业网络和工业网络的核心平台型芯片。经 过十余年的技术积累,公司现已形成丰富的以太网交换芯片产品序列,多款产品获得中国 电子学会“国际先进、部分国际领先”科技成果鉴定。公司产品覆

  1.1. 国内以太网交换芯片领先者,专注研发投入,具备丰富独立研发经验

  盛科通信为国内领先的以太网交换芯片设计企业,主营业务为以太网交换芯片及配套产品 的研发、设计和销售。以太网交换芯片是构建企业网络和工业网络的核心平台型芯片。经 过十余年的技术积累,公司现已形成丰富的以太网交换芯片产品序列,多款产品获得中国 电子学会“国际先进、部分国际领先”科技成果鉴定。公司产品覆盖从接入层到核心层的 以太网交换产品,为我国数字化网络建设提供了丰富的芯片解决方案。 公司历年重视以太网交换芯片的自主研发与设计,具备丰富研发经验。2005 年 1 月盛科 有限设立,公司自成立以来持续专注于以太网交换芯片的自主研发与设计,在规格定义、 转发架构、特性设计上均具备成功经验,经历市场竞争和规模应用的挑战和磨砺,积累了 高性能交换架构、高性能端口设计、多特性流水线等 11 项核心技术。 经过多年行业的深耕和积累,公司现已形成丰富的以太网交换芯片产品序列,覆盖从接入 层到核心层的以太网交换产品。

  公司以太网交换机产品基于公司自主研发的高性能以太网交换芯片进行构建,旨在探索下 一代企业网络、运营商网络、数据中心网络和工业网络等多种应用场景需求,同时为公司 以太网交换芯片产品推广提供应用案例。

  公司自 2005 年成立以来专注于以太网交换芯片的独立研发,积累了众多的研发经验:2007 年公司推出了国内首颗万兆双栈 IPv4/IPv6 核心以太网交换芯片 Bay 系列;2010 年推出电 信级 IP/以太网交换芯片 Humber 系列,具备 100Gbps 交换容量;2013、2015 年分别推出 SDN 千兆以太网交换芯片 GreatBelt 系列及 SDN 智能高密度万兆以太网交换芯片 GoldenGate 系列;2017 年至 2021 年公司先后推出中等密度万兆安全以太网交换芯片 Duet2 系列、万兆汇聚以太网交换芯片 TsingMa 系列、面向 5G、数据中心应用的以太网交 换芯片 TsingMa.MX 系列。其中,2021 年推出的 TsingMa.MX 系列已具备 2.4Tbps 交换 容量,且首次集成 FlexE 接口,并支持最高 400G 端口速率具备全线速的交换芯片安全互 联技术、SRv6、G-SRv6 等新型技术演进的最新一代的可编程技术。

  公司采用集成电路设计企业通行的 Fabless 经营模式。在该模式下,公司负责集成电路设 计、质量控制及销售等环节,将晶圆制造、封装和测试等环节交给专业厂商完成。因此公 司高度重视研发创新体制的建设与管理,长期致力于建立规范化的产品研发流程和质量控 制体系,确保各产品系列在研发的各个阶段均得到有效的质量保障、风险管控和成本管理。

  1.2. 股权结构相对分散,背靠中字头国企,管理团队履历丰富

  公司股权架构分散,不存在控股股东与实际控制人。股权结构如图所示,中国振华及其一 致行动人中国电子合计持有公司 28.67%的股份;国家集成电路投资基金股份有限公司持有 公司 19.60%的股份;其余股东持有公司股份的比例相对较低。公司任一股东及其一致行动 人或最终权益持有人均无法通过控制董事会、股东大会进而控制公司,公司不存在控股股 东及实际控制人。 公司国企股东占比高,背靠中字头国企。同时公司股权架构中,国企背景股东持股比例较 高,背靠国企,股权结构稳定可靠。

  

  公司管理团队履历丰富,深耕软件和信息技术服务行业多年。董事长吕宝利自 2005 年至 今 任 职 多 家 大 型 高 新 技 术 企 业 董 事 , 履 历 丰 富 。 公 司 经 理 SUNJIANYONG 与 ZHENGXIAOYANG 均历任美国思科高级工程师有深厚的产业背景,深耕软件和信息技术服 务行业多年。独立董事谢俊元,历任南京大学计算机科学与技术系人工智能教研室主任、 计算机科学与技术系教授、博士生导师及多家大型公司独立董事,产学研背景深厚。

  1.3. 营收维持高增长,期待业绩扭亏为盈

  公司近年营收维持高速增长,受益于以太网交换芯片产品销售大幅增加。2022 年公司营 业收入为 7.68 亿元,同比增长 67.36%,2018-2022 年 CAGR 达到 56.5%,2023 年 1-6 月实 现营业收入 6.4 亿元,同比增长 82.88%,主要受益于以太网交换芯片下游市场需求的持续 旺盛,以及客户对公司产品认可度的不断提升,以太网交换芯片产品销售收入同比大幅增 加所致。

  从营收结构看, 公司以太网交换芯片收入持续高增,为公司营收增长的核心驱动力。交换芯片收入持续高 速增长主要系产品销量大幅提升所致。2022 年公司以太网交换芯片营业收入为 4.93 亿元, 同比增长 100.58%,占营业收入的比例为 64.22%,同比增长 10.63pct。

  公司以太网交换芯片模组产品主要面向对交换产品具有定制化需求的客户。2018-2022 年 营收持续保持正向增速。主要系下游以太网交换市场需求增加,具有模组定制化需求的客 户采购量进一步增加。 公司交换机设计融入白盒化产品,基于自主研发的交换机芯片进行构建,下游面向网络方 案集成商和品牌设备厂商,2020 年以来公司交换机产品单价有所下降,主要系产品结构变 动所致,在销量增长的驱动下,该业务 2018-2022 年保持正向增速。

  从成本端看, 公司以太网交换芯、以太网交换芯片模组以及以太网交换机的成本是公司主营业务成本的 主要构成部分。公司直接材料成本包括向芯片量产代工商整体采购的芯片成本以及为生产 模组和交换机产品而采购的印制电路板、电源、连接器、其他电子元器件、金属结构件等 配件材料成本。 公司近年毛利承压,主要系产品结构影响。2020 年、2021 年和 2022 年,公司综合毛利率 分别为 46.98%、47.12%和 43.16%,公司主要产品毛利率主要受下游市场需求、产品售价、 原材料及委外加工服务采购成本及公司技术水平等多种因素影响。2021 年公司综合毛利率 水平相较于 2020 年度基本维持稳定。2022 年公司综合毛利率相较于 2021 年度略有下降, 主要原因系毛利率相对较低的芯片产品 TsingMa.MX 销量提升,其营业收入占比增加一定 程度上拉低了公司的毛利率。 随着客户对公司的芯片产品认可度不断提升,前期投入积累逐步转化为客户订单,推动了 公司营业收入的增长,2023 年前三季度扭亏为盈。

  从费用端看, 公司期间费用管控有效。2022 年公司销售费用率/管理费用率/财务费用率分别为 4.53%/6.14%/6.15%,同比-2.16pct/-2.78pct/5.83pct,销售费用、管理管控情况良好。财务 费用较 2021 年增加,主要系 2022 年公司外币借款受汇率波动影响导致当期产生较大的汇 兑损失以及当期借款增加导致利息支出增加所致。

  公司研发投入维持高水平。公司坚持自主研发,2020、2021、2022 年度研发投入分别为 1.11 亿元、1.82 亿元、2.64 亿元,研发支出占营收比例分别为 41.97%、39.61%、34.39%, 研发投入逐年提升,研发支出占营收比例维持较高水平,长期以来高度重视技术创新和研 发投入,不断拓宽产品应用,已形成丰富的以太网交换芯片产品序列。截止 2022 年 12 月 31日,公司在中国境内共拥有395项已授权发明专利,在中国境外共拥有 5项已授权专利; 拥有处于有效期内的集成电路布图设计专有权 5 件、计算机软件著作权 131 件。2022 年 末,公司研发人员共 341 人,占公司总人数比例达 74.13%。

  1.4. 募集资金主要用于研发项目,致力增强公司整体竞争力

  公司的募集资金将主要用于新一代网络交换芯片研发与量产项目、路由交换融合网络芯片 研发项目,在公司现有产品的基础上,进一步加大研发投入,推进产品的升级迭代,扩展 现有产品边界,横向拓展公司产品的应用市场,扩大产品对应的潜在市场规模,提升公司 未来的发展空间,增强公司整体竞争实力。

  2.1. 以太网交换设备是网络信息交换的重要组成部分

  以太网交换设备为用于网络信息交换的网络设备,是实现各种类型网络终端互联互通的关 键设备。以太网交换设备对外提供高速网络连接端口,直接与主机或网络节点相连,可为 接入设备的任意多个网络节点提供电信号通路和业务处理模型。 以太网交换设备在逻辑层次上遵从 OSI 模型(开放式通信系统互联参考模型),主要工作 在物理层、数据链路层、网络层和传输层。以太网交换设备拥有一条高带宽的背部总线和 内部交换矩阵,在同一时刻可进行多个端口对之间的数据传输和数据报文处理。

  以太网交换设备随着以太网发展持续演进。从 1989 年第一台以太网交换设备面世至今, 经过 30 多年的快速发展,以太网交换设备在转发性能上有了极大提升,端口速率从 10M 发展到了 800G,单台设备的交换容量也由数十 Mbps 提升到了数十 Tbps。早期的以太网 设备如集线器为物理层设备,无法隔绝冲突扩散,限制了网络性能的提高。以太网交换设 备作为一种能隔绝冲突的网络设备,极大地提高了以太网的性能。随着技术的发展,如今 的以太网交换设备早已突破当年桥接设备的框架,不仅能完成二层转发,也能根据 IP 地址 进行三层路由转发,甚至出现工作在四层及更高层的以太网交换设备。

  2.2. 以太网交换芯片是以太网交换设备核心部件

  以太网交换设备由以太网交换芯片、CPU、PHY、PCB、接口/端口子系统等组成,其中以 太网交换芯片和 CPU 为最核心部件。以太网交换芯片为用于交换处理大量数据及报文转 发的专用芯片,是针对网络应用优化的专用集成电路。以太网交换芯片内部的逻辑通路由 数百个特性集合组成,在协同工作的同时保持极高的数据处理能力,因此其架构实现具有 复杂性;CPU 是用来管理登录、协议交互的控制的通用芯片;PHY 用于处理电接口的物理 层数据。部分以太网交换芯片将 CPU、PHY 集成在以太网交换芯片内部。 以太网交换芯片报文交换处理如下图所示:需要传输的报文/数据包由端口进入以太网交换 芯片之后,首先进行数据包头字段匹配,为流分类做准备;而后经过安全引擎进行硬件安 全检测;符合安全的数据包进行二层交换或者三层路由,经过流分类处理器对匹配的数据 包做相关动作(比如丢弃、限速、修改 VLAN 等);对于可以转发的数据包根据 802.1P 或 DSCP 放到不同队列的 buffer 中,调度器根据优先级或者 WRR 等算法进行队列调度,在端 口发出该数据包之前执行流分类修改动作,最终从相应端口发出。

  

  以太网交换芯片按照带宽及应用可分为以下类别:

  从端口速率看,以太网交换芯片可分为百兆、千兆、万兆、25G、40G、100G 及以上不等。 近年数字经济的快速发展,推动了云计算、大数据、物联网、人工智能等技术产业的快速 发展和传统产业数字化的转型,均对网络带宽提出新的要求,100G 及以上的以太网交换 芯片需求逐渐增多,400G 端口将成为下一代数据中心网络内部主流端口形态。 以太网交换芯片具备平台型、长生命周期特点。以太网交换芯片不仅涉及企业网络、运营 商网络、数据中心网络和工业网络等领域网络设备商直接客户,还直接面向数据中心、运 营商等最终客户。以太网交换芯片厂商通过面向网络设备商直接客户的技术迭代和实践论 证,向最终客户收集一手需求、参与集采规范,并通过行业标准组织的深度参与和建言献 策,实现产业闭环,完成全产业链布局。 产业链全环节的高度协同要求以太网交换芯片设计企业具有强大的产业链整合能力,在产 品市场定位、技术可行性、成功量产、外协加工、下游客户开拓、客户支持及自身运营等 各方面均需具备良好的基础。

  2.3. AI 浪潮驱动下游市场规模扩大,数据中心将成为主要推动力

  以太网交换芯片下游应用场景分为企业网用以太网交换设备、运营商用以太网交换设备、 数据中心用以太网交换设备以及工业用以太网交换设备四类,以上应用场景的具体细分应 用领域如下: 企业网用以太网交换设备:可分为金融类、政企类、校园类; 运营商用以太网交换设备:可分为城域网用、运营商承建用以及运营商内部管理网用;数据中心用以太网交换设备:可分为公有云用、私有云用、自建数据中心用; 工业用以太网交换设备:可分为电力用、轨道交通用、市政交通用、能源用、工厂自动化 用。

  数据中心将成为中国商用以太网交换芯片市场增长推动力。从应用场景看,2020 年中国 商用以太网交换芯片市场方面,数据中心用、企业网用、运营商用和工业用以太网交换芯 片市场规模占比分别为 58.5%、27.3%、12.7%和 1.6%;灼识咨询预计至 2025 年,中国商用 以太网交换芯片市场方面,数据中心用、企业网用、运营商用和工业用以太网交换芯片市 场规模占比将分别达到 70.2%、20.7%、7.8%和 1.3%,商用数据中心用以太网交换芯片市场 规模 2020-2025 年年均复合增长率将达到 18.0%,数据中心将成为未来中国商用以太网交 换芯片市场增长的主要推动力。

  2.3.1. 数据中心:AI 浪潮推动流量爆发式增长,交换机迭代升级需求提升

  数字经济与 AI 建设下,5G、千兆网络、物联网、云计算的发展,将提升数据流量,带动 下游数据存储需求持续旺盛,数据中心规模逐步扩大。 物联网、工业互联网和传统企业上云率的不断增加以及 5G 商用的持续加速带动了下游应 用流量高速增长。根据工信部公开数据,截至 2020 年底,我国已建设超 70 万个 5G 基站, 我国 5G 终端连接数已超 1.8 亿。同时,2021 年全国工业和信息化工作会议和三大运营商 2021 年工作会议在北京召开,宣布 2021 年我国将新建 5G 基站 60 万个以上,较 2020 年 继续提速,5G 网络建设开始驶入“快车道”。

  同时,我国云计算产业持续保持快速增长,带动了数据中心大规模建设。我国云计算正处 于快速上升期,市场对数据中心等 IaaS 基础设施的需求将逐渐加大。根据灼识咨询数据, 2020 年,我国云计算市场整体规模为 2,119.3 亿元,同比增长 33.8%,增速显著高于全球 平均水平。总体来看,与欧美发达国家相比,我国云计算市场起步较晚,市场有较大提升 空间,预计未来几年仍将保持快速增长。

  除此之外,AI 带来的新一轮科技革命浪潮也进一步推动了流量的大规模增长。在 AI 大模 型训练场景下,机内与机外的集合通信操作将产生大量的通信数据量。2020 年,OpenAI 推出了超大规模语言训练模型 GPT-3,参数已达到 1750 亿,在两年左右的时间实现了模 型规模从亿级到上千亿级的突破。而千亿参数规模的 AI 模型并行产生的 AllReduce 集合通 信数据量将达到百 GB 级别,因此对机内 GPU 间的通信带宽及方式提出了更高的要求。AI 核心数据中心的模型训练给算力带来了巨大挑战,训练数据量不断加大,对于算力资源需 求提升,算法模型的复杂度指数级增长使算力不断逼近极限。在 2010 年之前训练所需的 算力增长符合摩尔定律,大约每 20 个月翻一番。自 2010 年代初深度学习问世以来,训练 所需的算力快速增长,大约每 6 个月翻一番。2015 年末,训练算力的需求提高了 10 到 100 倍。

  AI 算力增长也不断推动着基础设施扩容,促使数据中心服务器、交换机、光模块不断迭代。以博通交换机芯片为例,2010 年到 2022 年交换机芯片速率由 640G 提升到 51.2T,光模块 速率从 10G 迭代到 800G。

  大型数据中心的发展建设需要极大数量的以太网交换机,同时也对以太网交换芯片的性能 提出了较高的要求。亚马逊、谷歌、微软、Facebook 等北美超大型数据中心内部互连已从 2019~2020 年开始商用部署 400Gb/s 光模块;国内数据中心正由 100Gb/s 逐步向 400Gb/s 过渡,目前已实现 400G 部署。据 IMT-2020(5G)推进组,数据中心交换芯片吞吐量预计 2023 年将达到 51.2Tb/s,2025 年之后达到 102.4Tb/s,800Gb/s 和 1.6Tb/s 更高速率将成为实现 高带宽数据交换的重要选择。800G 交换机预计今年开始快速渗透上量:根据 Dell‘Oro 预 测,到 2027 年,近一半的数据中心交换机端口将由 400Gbps 及更高的速度驱动,同时预 计到 2025 年,800Gbps 将超过 400Gbps。

  国内方面,灼识咨询预计至 2025 年,100G 及以上和 25G 的中国商用以太网交换芯片 市场规模将大幅增长,占比将分别达到 44.2%和 16.3%,2020-2025 年年均复合增长率将分 别达到 28.4%和 30.5%。

  2.3.2. 白盒化趋势:突破传统交换机瓶颈,白盒化将成交换机市场热门趋势

  网络技术高速迭代需要网络具备更强的可编程能力。近年来,随着互联网的普及和应用的 多样化,数据时代的数据量和价值呈指数级增长。传统标准确定、芯片研发、 整机研发 到规模部署的周期无法满足下游应用快速迭代的需要。 据网络通信与安全紫金山实验室,白盒交换机作为一种软硬件解耦的开放网络设备,突破 传统交换机软硬件的一体化设计,采用开放的设备架构,解耦网络底层硬件与上层网络功 能或协议,支持需求的快速迭代,提升了设备的可编程性、灵活性。上述特征契合了新型 业务和网络发展的痛点需求,有望为网络带来全新的改变,对我国未来网络建设和研究具 有重要意义。 与传统软硬一体的封闭交换机相比白盒交换机具有诸多优势。首先,白盒交换机采用开放 的设备架构和软硬解耦思想,可以根据业务需求,按需定制底层硬件和上层软件,相比传 统交换机软硬件捆绑购买、垄断使用,能够显著降低交换机的购置成本。另外,在软件功 能方面,可以基于开源软件进行二次开发,降低开发周期和成本。其次,白盒交换机支持 硬件数据面可编程和软件容器化部署,通过软件定义的方式定制数据面的转发逻辑,还充分利用现代云计算技术,对网络功能进行快速升级迭代,提升网络的灵活性、敏捷性、确 定性,优化网络性能,满足复杂的业务需求。另外,借助容器化部署,能统一简化管理运 维,降低网络的运维成本。

  白盒交换机凭借其软硬解耦、灵活可编程、高速转发等优势近年来也受到云商智算中心大 规模组网青睐。 白盒交换机在过去三十年得到了蓬勃发展,据网络通信与安全紫金山实验室,Linux1.0 版 本于 1994 年正式发布,2 年后 2.0 版本正式更新,提供了网络协议/功能控制的开源框架。 用户可根据自己的需求,通过修改内核代码,对网络功能与协议进行修改和定制。2011 年,基于交换机软件化技术,OCP 等组织开始关注交换机虚拟化技术,并于 2013 年开启 了对交换机硬件白盒化的标准化工作,推出了 ONIE(Open Network InstallEnvironment)开 放 安 装 环 境 、 FBOSS(Facebook Open Switching System) 设 备 管 理 软 件 以 及 ODL(OpenDaylight)控制器标准文档,在SDN和白盒交换机领域取得了重大突破。2015年, OCP 成功推出第一款白盒交换机 Wedge。2016 年至今,白盒设备、软件操作系统、网络 自动化等技术已得到蓬勃的发展。

  据网络通信与安全紫金山实验室,以思科为例,思科是全球最大的网络设备提供商,近年 来其一直围绕 SDN 进行产业发展。面向下一个十年的未来网络技术,思科已开始布局白 盒交换机发展。具体包括三大关键技术领域:芯片、光模块和软件。在 2019 年 12 月的 “Internet for the Future”未来互联网全球发布会上,思科公布了此战略的四个方向:Cisco SiliconOneTM、面向 400G 和更高速率的光器件、思科 8000 系列平台、灵活的商业模式。 目前思科已发布 Cisco Silicon OneTM Q100 芯片、思科 8200 系列路由器、思科 8800 系列 路由器、光模块 QSFP-DD、IOS XR7 操作系统等代表性产品。这项战略将重塑互联网经济, 全面满足未来数字化应用的需求,并使客户能够使用更加简单、灵活、经济、高效的网络 来运营业务。 白盒化将成为交换机市场热门趋势,市场规模有望进一步提升。Omdia 数据显示,2022 年 全球数据中心以太网交换机市场份额白盒供应商占比 32%,其中 Arista 占比 18%。在北 美市场,全球 TOP3 云商亚马逊、谷歌和 Meta 的白盒交换机购买规模已超市场总规模 的三分之二。

  2.4. 商用厂商为未来规模主要增量,国产替代空间较大

  全球以太网交换设备市场规模稳步提升。根据 IDC、灼识咨询数据,截至 2020 年,全球 以太网交换设备的市场规模为 1,807.0 亿元。2016-2020 年年均复合增长率为 3.5%,预计 至 2025 年市场规模将达到 2112.0 亿元,2020-2025 年年均复合增长率为 3.2%。Dell’Oro 预计全球以太网交换机数据中心市场将在 2021 年-2026 年达到近两位数的 CAGR 增长,到预测期末,400Gbps、800Gbp 和 1600Gbps 将占市场 50%以上收入。

  

  全球以太网交换芯片市场呈高速上升趋势。根据灼识咨询数据,以销售额计,全球以太网 交换芯片总体市场规模 2016 年为 318.5 亿元,2020 年达到 368.0 亿元,2016-2020 年年 均复合增长率为 3.6%,预计至 2025 年全球以太网交换芯片市场规模将达到 434.0 亿元, 2020-2025 年年均复合增长率为 3.4%。 以太网交换芯片分为商用和自用,2020 年商用和自用占比均为 50.0%。

  自用方面,全球以太网交换芯片自用厂商以思科、华为等为主,其自研芯片主要用于自研 交换机,而非用于供应予其竞争对手。此外,自用厂商亦同时外购其他厂商的商用以太网 交换芯片。 在商用方面,随着全球以太网交换芯片市场的扩大,自用厂商已无法满足下游日益增长的 需求,因此全球范围内涌现出博通、美满、瑞昱、英伟达、英特尔、盛科通信等以太网交 换芯片商用厂商,部分自用厂商亦通过外购商用芯片丰富自身交换机产品线。 因以太网芯片天然的技术、资金壁垒,部分自用厂商难以在自身体量下同时支撑芯片的高 额研发投入、高速迭代,且对产业链协同和产能紧缺的风险抵抗能力更低。全球商用以太 网交换芯片市场 2020-2025 年年均复合增长率为 5.3%,显著高于全球自用以太网交换芯片市场同期年均复合增长率 1.2%,因此,未来以太网交换芯片市场规模的主要增量将来自商 用厂商。

  中国以太网交换机芯片市场呈现寡头竞争格局,存在“芯片设计+客户认证”双重壁垒。 由于以太网交换芯片行业具备较高的技术壁垒、客户及应用壁垒和资金壁垒:芯片集成度 不断提高,海量逻辑造成研发工程难度提高,研发周期延长;同时,交换芯片厂商往往需 要 5-7 年时间才能成功研发并量产应用具备竞争力的以太网交换芯片,初创厂商难以快速 导入下游客户。因此当前行业整体国产程度较低,国内参与厂商较少。根据灼识咨询数据 显示,2020 年中国商用以太网交换芯片市场以销售额口径统计,博通、美满和瑞昱分别以 61.7%、20.0%和 16.1%的市占率排名前三位,合计占据了 97.8%的市场份额,以太网交换机 芯片市场呈现寡头竞争格局。

  本土厂商主导交换机市场,供应链安全可控需求迫在眉睫。交换机作为我国企业网、数据 中心等各类网络环境中的核心设备,其质量性能及可靠程度直接影响整体网络环境的安全 性,因此整机厂商选择自主可控的国产零部件供应商成为重中之重。同时,我国作为全球 核心的半导体芯片消费国家,芯片对外依存度高,高端芯片严重依赖进口,芯片自给率较 低。据中国半导体协会统计,2019 年我国芯片自给率仅为 30%左右。因此为确保下游网络 应用的安全可控,国产交换机厂商加速导入本土交换芯片迫在眉睫,实现国产替代有较强 趋势。

  2.5. 国家颁布系列政策法规支未来算力中心行业发展

  算力是数字经济时代的新型生产力。算力网是支撑数字经济高质量发展的关键基础设施, 可通过网络连接多源异构、海量泛在算力,实现资源高效调度、设施绿色低碳、算力灵活 供给、服务智能随需。近年来,我国政府颁布了一系列政策法规,加快构建全国一体化算 力网,以算力高质量发展支撑经济高质量发展,大力支持未来算力中心行业发展。

  3.1. 交换机芯片涉及网络安全,公司定位独特,具备一定的本土优势

  公司自 2005 年成立以来聚焦以太网交换芯片自主研发,截至 2022 年 12 月 31 日共有研 发人员 341 人,2020-2022 年研发投入分别为 1.1 亿元、1.8 亿元、2.6 亿元,占营业收 入比例分别为 41.97%、39.61%、34.39%。通过多年的人才积累、需求积累、技术积累、产 品积累,具备了高性能、灵活性、高安全、可视化的技术优势,形成了高性能交换架构、 高性能端口设计、多特性流水线等 11 项核心技术。以支撑公司产品高性能、灵活性、高 安全、可视化的技术优势。公司现已成功开发丰富的以太网交换芯片产品序列,覆盖从接 入层到核心层的交换产品,CTC7132、CTC8096、CTC5160 三款主要以太网交换芯片产品 均获得中国电子学会“国际先进、部分国际领先”科技成果鉴定。

  公司核心技术应用于公司的以太网交换芯片及其配套产品,实现了核心技术产业化。公司 构建了具备自主知识产权、具备国内领先地位、符合本土化需求的核心技术能力,建立了 完善的设计、工艺、测试平台。基于自身积极研发创新、对产业链的深度理解、规模化市 场应用的持续反馈、行业标准组织的深度参与,公司产品完成数次迭代,过程中核心技术 持续升级完善,形成了具备自主知识产权、具备国内领先地位、符合本土化需求的核心技 术能力。 公司凭借高性能、灵活性、高安全、可视化的产品优势,公司与国内主流网络设备商和信 息技术厂商建立了长期稳定的合作伙伴关系。公司自主研发的以太网交换芯片已进入国内 主流网络设备商的供应链,以公司芯片为核心生产的以太网交换设备已在国内主要运营商 以及金融、政府、交通、能源等各大行业网络实现规模现网应用。

  3.2. 公司核心产品追赶国际水平,在研产品对标国际最高水平

  以太网交换芯片领域集中度较高,少量参与者掌握了大部分市场份额。根据灼识咨询数据, 2020 年中国商用以太网交换芯片市场以销售额口径统计,博通、美满和瑞昱分别以 61.7%、 20.0%和 16.1%的市占率排名前三位,合计占据了 97.8%的市场份额。此外,盛科通信的销售 额排名第四,占据 1.6%的市场份额,在中国商用以太网交换芯片市场的境内厂商中排名第 一;中国商用万兆及以上以太网交换芯片市场方面,盛科通信的销售额排名第四,占据 2.3%的市场份额,在中国商用以太网交换芯片市场的境内厂商中排名第一。 公司以太网交换芯片与同行业可比公司同类产品的主流技术水平对比 A、TsingMa.MX 系列 TsingMa.MX 系列是公司高端核心芯片,具备 2.4Tbps 的交换容量,支持最大 400G 端口速 率。 TsingMa.MX 系列当前广泛应用于中等规模数据中心、5G 承载网络的汇聚、企业网络等应 用领域。

  在交换容量层面,TsingMa.MX 支持 2.4Tbps 交换容量,该交换容量为当前企业网汇聚核心 设备、5G 承载汇聚和中等规模数据中心的主流交换容量。TsingMa.MX 与博通同级别芯片 BCM56770 具备同档位交换容量。而博通 BCM56880 主要面向超大规模数据中心网络,与 TsingMa.MX 定位存在一定差异,因此在交换容量上 TsingMa.MX 与其存在一定差距。 在端口速率层面,TsingMa.MX 创新地支持从 1G 到 400G 的多速率端口,相较竞品支持更 多端口速率,产品端口的灵活性可满足更多应用场景的需求。 在基本特性层面,TsingMa.MX和竞品均具备丰富的二层、三层、ACL、QoS特性。TsingMa.MX 的 QoS 能力更强,支持 5 级 H-QoS 调度,以支撑 5G 网络中更灵活的业务调度。 在增强特性层面,TsingMa.MX 存在以下优势:

  在企业网络增强特性方面,TsingMa.MX 在网络安全性方面保持领先,支持安全互联技术, 通过在芯片中心集成安全互联技术,实现更安全、更好性能、更低时延的云网安全能力; 在运营商网络增强特性方面,TsingMa.MX在SRv6/G-SRv6等IPv6的演进技术上保持领先, 且集成了国内 5G 承载特有的 FlexE 技术; 在数据中心网络增强特性方面,TsingMa.MX 和竞品均具备了 EVPN、无损网络、可视化能 力,但 TsingMa.MX 创新地将可视化功能完全由可视化引擎硬件实现,实现更优的可视化 性能,并提供更细致的可视化数据; 在工业网络特性方面,为了实现工业端到端的组网,TsingMa.MX 同样具备 TSN802.1AS 高 精度时钟同步能力。 总体而言,TsingMa.MX 相较博通最高端交换芯片,在核心交换容量上存在差距。但在同 级别产品的对比中,其交换容量、端口的覆盖能力、特性的完善度均具备一定优势。

  公司 TsingMa.MX 系列芯片面向 100G 数据中心 ToR、企业网络、运营商网络的综合应用 领域,为公司针对已有客户需求定义的 GoldenGate 系列的迭代升级芯片。其交换容量达 到 2.4Tbps,支持最大端口速率 400G,而同行业最高水平博通的 Tomahawk4、美满的 Teralynx8 以及思科的 G100 支持交换容量达到 25.6Tbps,支持最大端口速率 800G,公司 当前最高性能芯片 TsingMa.MX 仍与同行业最高水平存在一定差距。但就基本特性、数据 中心网络增强特性方面,公司产品业已与竞品达到一致水平。

  目前公司正积极拓宽产品矩阵,未来有望在高中低端产品实现全方位覆盖。公司在研 Arctic 系列对标国际当前最高水平,面向超大规模数据中心,交换容量最高将达到 25.6Tbps,支 持最大端口速率 800G,搭载增强安全互联、增强可视化和可编程等先进特性,将进一步 降低我国以太网交换芯片行业与国际最先进水平的差距。

  3.3. 公司以 Fabless 经营模式为主,专注于核心研发技能提升

  以太网交换芯片方面,公司采用集成电路设计企业通行的 Fabless 经营模式。在该模式下, 公司负责集成电路设计、质量控制及销售等环节,将晶圆制造、封装和测试等环节交给专 业厂商完成。 具体而言,首先,公司通过调研策划和需求管理了解客户需求,根据公司技术发展规划和 产品发展规划,进行应用场景和用户调研、竞争分析、市场预测等,而后对可行性、投入 成本等进行评估、立项;其次,各部门联合进行可行性评估之后交由研发部门进行研发; 产品研发完成之后,公司委托供应商进行样品试产;试产评估审核通过之后,公司根据客 户需求、销售预测等制定生产计划。在生产环节,公司将研发成果交付给专业的晶圆制造 厂进行晶圆制造,再交由封装测试厂进行封装测试。在已有量产项目中,公司将主要精力 聚焦于芯片设计,将部分后端设计以及生产环节的晶圆制造和封装测试环节委托芯片量产 代工商进行。公司最终将芯片成品通过直销或经销方式销售予终端客户;产品交付客户之 后,公司继续向客户提供质量保障等后续服务。 芯片模组及以太网交换机方面,公司以自主研发的以太网交换芯片为基础,将芯片模组或 以太网交换机整机的生产制造环节委托予硬件加工商进行,生产得到的成品芯片模组或以 太网交换机,最终通过直销或经销方式销售予客户。产品交付客户之后,公司继续向客户 提供质量保障等后续服务。

  

  目前,公司选择 Fabless 模式作为经营模式,能够将研发和资金资源集中投入于产品的研 发设计当中,交付的产品即为完整的封测完毕的芯片,有利于提升公司的资源利用效率。 公司能够更加专注于核心研发环节,提高供应链效率。公司直接与美满、创意电子等芯片量产代工商对接,购买芯片成品,能够省去与晶圆制造厂、封测厂的协调沟通环节,使公 司能够更为专注于芯片研发环节,提高供应链整体效率。此外,公司将部分后端设计业务 委托芯片量产代工商完成,公司能够更加专注于价值量更高的集成电路的产品定义、前端 设计和客户支持业务。 保证产能稳定供给。当前,公司仍然处于采购金额和采购数量较小的发展阶段,与晶圆制 造厂和封测厂的议价能力与产能保障能力相较业内龙头 Fabless 企业较弱。通过芯片量产 代工商采购成品,可充分发挥芯片量产代工商在芯片产业链的细分领域的优势地位和议价 能力,为公司构建核心能力、进行高效资源分配的最佳模式,能够保障公司晶圆制造和封 测产能的稳定供给。 因此,公司当前经营模式的选择能够满足公司在历史经营过程中的发展需要,亦符合集成 电路行业发展过程中分工细化的趋势。后续,在公司规模发展与供应链配置达到一定条件 时,公司或将采用自主进行全部后端设计并直接采购晶圆和封测服务的形式。

  (本文仅供参考,不代表我们的任何投资建议。如需使用相关信息,请参阅报告原文。)